ChipFind - документация

Электронный компонент: PowerPC-440SP

Скачать:  PDF   ZIP

Document Outline

Part Number 440SP
Revision 1.12 - November 15, 2005
AMCC Proprietary
1
PowerPC 440SP Embedded Processor
Data Sheet
Features
PowerPC
,
440 processor core operating at up to
667 MHz with 32-KB I- and D-caches (with parity
checking)
On-chip 256-KB SRAM configurable as L2 Cache
or Ethernet Packet/Code store memory
Selectable Processor:Bus clock ratios (Refer to
the Clocking chapter in the PPC440SP Embedded
Processor User's Manual
for details)
Supports up to 4 GB (2 Chip Selects) of 64-bit/32-
bit SDRAM with ECC
DDR1 266-333-400
DDR2 400-533-667
Three DDR PCI-X interfaces (32-bit or 64-bit) up
to 133 MHz (DDR 266) with support for
conventional PCI
XOR Accelerator with DMA controller
I2O Messaging Unit with two DMA controllers
External Peripheral Bus (24-bit Address, 8-bit
Data) for up to three devices
One Ethernet 10/100/1000 Mbps half- or full-
duplex interface. Operational modes supported
are MII and GMII.
Programmable Interrupt Controller supports
interrupts from a variety of sources.
Programmable General Purpose Timers (GPT)
Three serial ports (16750 compatible UART)
Two IIC interfaces
General Purpose I/O (GPIO) interface available
JTAG interface for board level testing
Processor can boot from PCI memory
Description
Designed specifically to address high-end embedded
applications for storage, the PowerPC 440SP
Embedded Processor (PPC440SP) provides a high-
performance, low power solution that interfaces to a
wide range of peripherals by incorporating on-chip
power management features and lower power
dissipation.
This chip contains a high-performance RISC
processor core, a DDR2 SDRAM controller,
configurable 256KB SRAM to be used as L2 cache or
software-controlled on-chip memory, three DDR PCI-X
bus interfaces, an Ethernet interface, an I2O/DMA
controller, control for external ROM and peripherals,
an XOR DMA unit, serial ports, IIC interfaces, and
general purpose I/O.
Technology: CMOS Cu-11, 0.13mm
Package: 29mm, 783-ball, 1 mm pitch, Flip Chip-
Plastic Ball Grid Array (FC-PBGA)
Power (estimated): Less than 6W @533 MHz
Supply voltages required: 3.3V, 2.5V, 1.8 V, 1.5V
PowerPC 440SP Embedded Processor
2
AMCC Proprietary
Revision 1.12 - November 15, 2005
Data Sheet
Contents
Ordering and PVR Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
Address Maps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
PowerPC 440 Processor Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
Internal Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
On-Chip SRAM/L2 Cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
DDR PCI-X Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
DDR1 and DDR2 SDRAM Memory Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
External Peripheral Bus Controller (EBC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
Ethernet Controller Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
I2O/DMA Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
XOR/DMA Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
Serial Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
IIC Bus Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
General Purpose Timers (GPT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
General Purpose IO (GPIO) Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
Universal Interrupt Controller (UIC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
Signal Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Device Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Test Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Spread Spectrum Clocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
I/O Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Input/Output Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
DDR SDRAM I/O Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
DDR SDRAM Write Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
DDR SDRAM Read Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Strapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Serial Bootstrap ROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Document Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
PowerPC 440SP Embedded Processor
Revision 1.12 - November 15, 2005
AMCC Proprietary
3
Data Sheet
Figures
Figure 1. Order Part Number Key . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
Figure 2. PPC440SP Functional Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
Figure 3. 29mm, 783-Ball FC-PBGA Core Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
Figure 4. Clock Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Figure 5. Input Setup and Hold Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Figure 6. Output Delay and Hold Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Figure 7. DDR SDRAM Simulation Signal Termination Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Figure 8. DDR SDRAM Write Cycle Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Figure 9. DDR SDRAM Read Data Path. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Figure 10. DDR SDRAM Memory Data and DQS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Figure 11. DDR SDRAM Read Cycle Timing Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Tables
Table 1. System Memory Address Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Table 2. DCR Address Map (4KB of Device Configuration Registers) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
Table 3. Signals Listed Alphabetically . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Table 4. Signals Listed by Ball Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Table 5. Pin Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Table 6. Signal Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Table 7. Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Table 8. Package Thermal Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Table 9. Recommended DC Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Table 10. Input Capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Table 11. DC Power Supply Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Table 12. Clocking Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Table 13. Peripheral Interface Clock Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Table 14. I/O Specifications--All Speeds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Table 15. I/O Specifications--533MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Table 16. DDR SDRAM Output Driver Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
Table 17. DDR SDRAM Read and Write I/O Timing--TSA and THA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Table 18. DDR SDRAM Clock to Write DQS Timing--TDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
Table 19. DDR SDRAM Write Data to DQS Timing--TSD and THD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
Table 20. DDR SDRAM I/O Read Timing--T
SD
and T
HD
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Table 21. Strapping Pin Assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
PowerPC 440SP Embedded Processor
4
AMCC Proprietary
Revision 1.12 - November 15, 2005
Data Sheet
Ordering and PVR Information
For information on the availability of the following parts, contact your local AMCC sales office.
Each part number contains a revision code. This is the die mask revision number and is included in the part
number for identification purposes only.
The PVR (Processor Version Register) and the JTAG ID register are software accessible (read-only) and contain
information that uniquely identifies the part. See the PPC440SP Embedded Processor User's Manual for details
about accessing these registers.
Figure 1. Order Part Number Key
Product Name
Order Part Number
(see Notes:)
Package
Rev
Level
PVR Value
JTAG ID
PPC440SP
PPC440SP-xpCfffC
29mm, 783 FC-PBGA
C
0x53221891
0x12056049
Notes:
1. x = Product Feature
A = RAID6 not enabled
R = RAID6 enabled
2. p = Module Package Type
F = leaded FC-PBGA
N = lead free FC-PGBA (RoHS compliant)
3. C = Chip Revision Level C
4. fff = Processor Frequency
533 = 533MHz
667 = 667MHz
5. C = Case Temperature Range of -40C to +100C
AMCC Part Number
PPC440SP-RNC667C
Package
Processor Speed
Product Feature
Revision Level
Case Temperature Range
Note: The example part number above is a RAID6 enabled, lead-free package, at Chip Revision
Level C, capable of running at 667 MHz, and is shipped in tray packaging.
PowerPC 440SP Embedded Processor
Revision 1.12 - November 15, 2005
AMCC Proprietary
5
Data Sheet
Block Diagram
Figure 2. PPC440SP Functional Block Diagram
The PPC440SP is a System on a chip, which uses IBM
CoreConnect
BusTM Architecture.
Implemented with the Crossbar option, the IBM CoreConnect buses provide:
128-bit Data, 64-bit Address PLB interfaces up to 166.66 MHz, 2.6 GB/s on both the Read and Write data
paths (10.6GB/sec total)
32-bit OPB interfaces up to 83.33MHz, 333 MB/s
Address Maps
The PPC440SP incorporates two address maps. The first is a fixed processor system memory address map. This
address map defines the possible contents of various processor accessible address regions. The second address
map identifies the system Device Configuration Registers (DCRs). DCRs are accessed by software running on the
PPC440SP processor through the use of mtdcr and mfdcr instructions.
UART2
UART1
IIC1
Processor Core
DCR Bus
32KB
On-chip Peripheral Bus (OPB)
GPIO
Accelerator
Bridge
DDR2 SDRAM
External
Bus Controller
Controller
Clock,
Control,
Reset
Power
Mgmt
JTAG
Timers
MMU
Unit
OPB
Interrupt
Controller
Universal
I-Cache
32KB
D-Cache
XOR/DMA
PPC440
DDR PCI-X
MAL
Ethernet
DCRs
GPT
L2 Cache/SRAM
10/100/
Low Latency (LL) Segment
High Bandwidth (HB) Segment
Controller
Processor Local Bus (PLB)
Trace
Arbiter
PLB
I2O/DMA
Host
Local
64 bits
64 bits
Local
32 bits
Memory
PCI0
PCI1
PCI2
1000
MII,
GMII
(EBC)
(DMA0 and
DMA1)
(DMA2)
Queue
IIC0
UART0
(EMAC)